心睿 · 志远

首页

产品索引

保修服务

购买方法

联系我们

发货信息

睿志论坛

English


 

R-1

全分立件梯阶电阻DAC

全分立件DSD 解码器

全分立件真正平衡电流传输设计

所有设置均可在面板上完成 (无需打开机器设置)

4种不同算法的NOS模式,3种不同采样率的OS模式

7种不同声音特性,

只需通过面板上的按键进行切换。

 

内建 4 组 DA-8 V2 梯阶电阻模块
内建 FPGA 处理器,拒绝 Jitter
USB / HDMI 支持DXD及DSD Native模式 ,32Bit /384KHz
使用OCC,Hi-end级配件

 

    

     

   
概述 使用指引 规格 定制选项

价格:(包含中国大陆,港澳运费)
标准版:                   5380元
TCXO
升级版 :        5650元 (在标准版基础上升级两个飞秒TCXOs)
全时钟升级版 :       5980元 (在标准版基础上升级主板两个时钟为Accusilicon飞秒时钟及USB界面升级两个Crystek 飞秒时钟)
主板升级的Accusilicon clocks:
       
USB界面升级的Crystek clocks:


点击下载USB驱动 Amanero combo 384

DA8 V2 推出
自从2018年12月7日始,DA8 模块升级到 DA8 V2.
DA8 V2 升级了硬件线路及更换了梯阶电阻。
在我们的试听,DA8 V2提升了音质的透明度与细节,音场定位更精准。
在测试中,DA8 V2的THD降低了6DB。

R-2R DAC 的优缺点:

               
优点 :
           1, R-2R 不会将时钟信号转换到输出信号。
           2, R-2R 不敏感于 jitter 但 Delta-Sigma 就相当敏感。
           3, R-2R的输出信号电平的精准度高于Delta-Sigma  .
           缺点 :
           1, R2R 的谐波失真度可以做到相当低但还不能做到象ES9038 PRO (Delta-Sigma)那样的低谐波失真。
           2, Glitch 与梯阶电阻的精度不容易解决。


市场上流行的R-2R设计:
         
无论是DIY套件或是厂制品,R-2R已经变得流行。
          在低价位的DIY 套件市场,通常的设计是学习了MSB旧有技术,但仅保留了信号转换的部分而舍弃了原厂精妙的设计。这种设计是通过数据串行输入到移位寄存器IC去将数据 转换到模拟信号的转换,是根本无法解决R-2R的技术难题,这种设计的性能是完全依赖梯阶电阻的精度。
   


         
在Hi -End 市场的厂制品,使用了相当复杂的技术去解决R-2R存在的问题,从而达到高性能与音质。 一些厂家使用移位寄存器IC的串行控制模式。下图的设计是使用了FPGA并行控制梯阶电阻开关的方式。并行控制模式,每一bit的梯阶电阻开关都单独控制,因此具有超高速度 (并行模式仅需1个时钟周期去输出所有数据, 串行模式则需要 至少8到24个时钟周期)去发送或更新数据,并可以在任何时候即时纠正数据从而令输出信号具有低失真特性,解决由于电阻公差及Glitch等引致的问题。
     

梯阶电阻的精度:

               
很多人只关心梯阶电阻的精度, 他们看来R-2R就是取决于电阻的精度。
           现今,24 bit已是一个标准,但可制造的电阻精度是否可以达到24 bit?
           即使是16 bit, 精度要求已是1/66536, 即使是 0.1% (1/1000)的电阻精度,是完全不足够的。就算是0.01% (1/10000),也依然未能达到 16  bit的要求,更不要说是24 bit.
          因此电阻的精度并不是解决问题的方向。假如世界上有0.00001%的电阻,能达到24 bit的要求,但梯阶电阻的开关内阻的离散性,会将这个超级高精准度 的优势完全抹去。
           我们要从技术上解决问题,而不是单凭提高电阻的精度。但我们依然在产品中使用超高精度的电阻。


相当重要的FPGA:

              
FPGA是可编程的逻辑阵列器件。
          现今,FPGA已应用在不少 Hi-End级别的DAC产品中, 象流行的ROCKNA WAVEDREAM DAC.
          FPGA内部的硬件布局,可以通过复杂的软件去设计与排布,并且硬件是可以通过软件的升级而得到升级。
           当升级固件时,硬件就会同时得到升级。这样的设计具有相当高的灵活性,可以通过软件升级实现音质的提升,增加更多更新的功能,以及令产品永远不会落后于时代。


责任重大的FPGA:

               
1, 内建高性能SPDIF解调器,而不采用市场上固化低性能的SPDIF解调芯片如  DIR9001, WM8805 and AK411X 等.
           2, 重组时钟及FIFO技术,输出数据可以精准同步到时钟上,拒绝jitter.
           3, 内建 2X, 4X and 8X 数字滤波器,及4种不同算法NOS模式可供用户选择最贴合个人口味的音色。

分立件真正平衡输出级:

               
信号经过最后一级是模拟输出级,输出级对DAC的音质影响是决定性的。再优秀的数字电路设计,没有一个优秀的模拟输出级设计,音质也会变得极其普通。
           模拟输出级直接连接在DA 8 模块后面。
           高速的CAST放大器担任信号的放大与处理,CAST放大器是没有负反馈的设计,且工作于电流信号模式,而不必象其他的设计一样,将信号反复在电流与电压间多次变换。
            输出的缓冲器级是单端纯A类FET设计,且两组并联以实现更低的输出阻抗。
            总而言之,输出级是工作于纯A类的状态,完全没有负反馈, 以可以重现纯净与逼真的声音信号。
            DAC内建 四个OPA运放担任直流伺服功能,这样DAC就无需耦合电容也可以正常工作,避免了电容产生的音染。
            在DA 模块后的所有信号通道,没有使用任何开关元件以实现最真最纯的音质效果。


强大的电源设计:

               
DAC 内建13组超高速超低噪音电源 并组成双重稳压以更好消除电源噪音,供电到各不同部分。
           

  


 

备案序号:粤ICP备05020367号

版权所有:          何庆华  睿志音响

Copyright(C) 2004   www.audio-gd.com    All Rights Reserved